关于我们
书单推荐                   更多
新书推荐         更多
当前分类数量:603  点击返回 当前位置:首页 > 中图法 【TN4 微电子学、集成电路(IC)】 分类索引
  • 电子线路图绘制与PCB制作
    • 电子线路图绘制与PCB制作
    • 居吉乔主编/2022-7-1/ 高等教育出版社/定价:¥38
    • 本书主要介绍了电子线路图绘制与印制电路板设计,包括5个项目:绘制直流稳压电源电路原理图、绘制LED流水灯电路原理图、设计直流稳压电源印制电路板、设计LED流水灯印制电路板、制作倒计时定时器。本书最后附有常用SCH元件对照表和PCB元件封装对照表。

    • ISBN:9787040586374
  • 集成电路原理与设计(第二版)
    • 集成电路原理与设计(第二版)
    • 贾嵩,王源,陈中建,甘学温/2022-7-1/ 北京大学出版社/定价:¥65
    • 本书全面系统地讲解了MOS集成电路的原理与设计。全书分为八章,第一章是绪论,介绍了集成电路的发展;第二章讲解了集成电路的制作工艺;第三章深入分析了MOS和双极型器件的工作原理,并讨论了集成电路中的无源器件和互连线的寄生效应;第四章系统地讲解了MOS集成电路的基本电路结构,电路工作原理和设计考虑;第五章分析了数字集成电路

    • ISBN:9787301332573
  • 伪集成电路检测与防护
    • 伪集成电路检测与防护
    • (美) 马克(穆罕默德)·德黑兰尼普尔等著/2022-7-1/ 国防工业出版社/定价:¥125
    • 伪集成电路是指不符合正品集成电路设计规范要求的非授权产品,主要形式包括回收、重标记、超量生产、不合格/有缺陷、克隆、伪造文件,以及篡改等,会大大降低应用系统的安全性和可靠性。本书对伪集成电路相关问题进行了全面剖析,并系统阐述并分析了其检测与防范方法。本书面向伪电子元件领域的初学者和专家,全面介绍相关的研究背景、安全威胁

    • ISBN:9787118125009
  •  IC芯片设计中的静态时序分析实践
    • IC芯片设计中的静态时序分析实践
    • [美]J.巴斯卡尔 [美]拉凯什·查达/2022-7-1/ 机械工业出版社/定价:¥135
    • 《IC芯片设计中的静态时序分析实践》深度介绍了芯片设计中用静态时序分析进行时序验证的基本知识和应用方法,涉及了包括互连线模型、时序计算和串扰等影在内的响纳米级电路设计的时序的重要问题,并详细解释了在不同工艺、环境、互连工艺角和片上变化(OCV)下进行时序检查的方法。详细介绍了层次化块(Block)、全芯片及特殊IO接口

    • ISBN:9787111706861
  • 印刷电路板设计与制作——基于Altium Designer
    • 印刷电路板设计与制作——基于Altium Designer
    • 颜晓河, 张佐理,郑泽祥 ,董玲娇,童玉林,于海成/2022-7-1/ 清华大学出版社/定价:¥65
    • 本书按照印刷电路板设计的流程和制作方法,介绍了AltiumDesigner21软件的各项功能和操作方法,以及快速制板系统的使用方法。本书共有10个项目,循序渐进地介绍了AltiumDesigner21软件入门操作、电路原理图设计、元器件库的创建与管理、印刷电路板的设计、封装库的创建与管理、电路板的制作等知识。本书结构清

    • ISBN:9787302609674
  • 集成电路测试基础
    • 集成电路测试基础
    • 佛山市联动科技股份有限公司/2022-7-1/ 电子工业出版社/定价:¥100
    • 本书系统地介绍了集成电路测试所涉及的基础知识和实践经验。全书共分为15章。其内容包括实际的导线、电阻、电容、电感元件在测试电路中的影响,自动测试设备(ATE)V/I源的基本原理和实际应用限制,一些简单的模拟和数字集成电路测试原理和方法,测试数据分析的常用方法,以及测试电路相关的信号完整性方面的简单介绍,并结合测试开发的

    • ISBN:9787121438028
  • 微电子技术基础
    • 微电子技术基础
    • 徐金甫/2022-7-1/ 电子工业出版社/定价:¥48
    • 本书较全面地介绍微电子技术领域的基础知识,涵盖了半导体基础理论、集成电路设计方法及制造工艺等。全书共6章,主要内容包括:绪论、半导体物理基础、半导体器件物理基础、大规模集成电路基础、集成电路制造工艺、集成电路工艺仿真等。全书内容丰富翔实、理论分析全面透彻、概念讲解深入浅出,各章末尾均列有习题和参考文献。本书提供配套的电

    • ISBN:9787121439612
  • 模拟CMOS集成电路系统化设计
    • 模拟CMOS集成电路系统化设计
    • [比]保罗·G.A.杰斯珀斯(Paul G. A. Jespers) [美]鲍里斯·默尔曼(Boris Murmann)/2022-7-1/ 机械工业出版社/定价:¥99
    • 本书是一本实践性指南,它给出一种纳米尺度CMOS模拟电路集成电路设计的新方法,新方法具有高效的特性,且可对电路行为带来深入洞察。

    • ISBN:9787111707455
  • 新型微电子器件前沿导论(姜岩峰)
    • 新型微电子器件前沿导论(姜岩峰)
    • 姜岩峰、张曙斌、汤思达、强天、于平平 编著/2022-7-1/ 化学工业出版社/定价:¥56
    • 本书帮助读者掌握新型电子器件的工作原理,了解微电子专业的发展趋势,主要内容包括半导体存储器、新型微能源器件、射频器件、新型集成无源器件、新型有机半导体器件。本书适合微电子科学与工程专业本科生及研究生使用,也可供微电子技术研究人员参考。

    • ISBN:9787122409348
  • Altium Designer 16 印制电路板设计(项目化教程)(徐敏)(第二版)
    • Altium Designer 16 印制电路板设计(项目化教程)(徐敏)(第二版)
    • 徐敏 主编/2022-6-1/ 化学工业出版社/定价:¥59
    • 本书以AltiumDesigner16为教学平台,以印制电路板(PCB)设计流程为主线,介绍了印制电路板设计的方法和技巧,内容主要包括电路原理图设计和PCB设计两大部分,设置了8个经典学习项目,项目设计上遵从学习者的认知规律,由浅入深,由简入繁,讲解透彻,实践性强,让读者一步一个脚印,在完成若干个项目的过程中逐步掌握相

    • ISBN:9787122407283